[LabIT] Modelsim ,error en simulación

Matias Fajardo matias.fajardo at sansano.usm.cl
Wed Aug 14 23:01:44 -04 2019


Hola tengo una duda en la simulación del programa, pues tengo mi programa
hecho y compilado, pero no puedo simularlo, me tira el error "Error loading
design", y tampoco me dice nada acerca del error, he buscado en google y en
stackoverflow, pero aun así no puedo simularlo, no se si la simulación
necesita de algo especial o algo asi, de antemano muchas gracias por la
ayuda.
Saludos
-------------- next part --------------
An HTML attachment was scrubbed...
URL: <http://listas.inf.utfsm.cl/pipermail/labit/attachments/20190814/2f493495/attachment.html>


More information about the LabIT mailing list